Strukturelle 4 bit-ring-Zähler mit D-flip-flop. VHDL / GHDL

Ich weiß nicht, wie dies mit den strukturellen Programmierung...

"Ein binärer Zähler (mit reset-signal) von 4-bits aus 4 D-flip-flops."

Wie die Verbindung in/outs?

Hier ist die entity-Deklarationen. Der Kern des Problems liegt in den letzten Zeilen.

                    --FFD
            entity FFD is
            port( CLK, D, reset : in STD_LOGIC;
                Q : out STD_LOGIC
               );
            end FFD;

            architecture behaviour of FFD is
            begin
                process(CLK, reset)
                begin
                if reset='1' then Q<='0';  
                elsif (clk'event and clk='1') then Q<=D;
                else null;
                end if;
                end process;
            end behaviour;
        ----------------------------------------------------------  

        --counter

        library IEEE;
        use IEEE.std_logic_1164.all;
        use IEEE.numeric_std.all;

            entity counter is
            port(clk : in std_logic;
               reset : in std_logic;
               count : out std_logic_vector(3 downto 0));
            end entity counter;

                architecture rtl of counter is

            --
            component FFD 
            port (CLK, D, reset : in STD_LOGIC;
                       Q : out STD_LOGIC);
            end component;

            signal q0,q1,q2: std_logic:='0';
            signal q3: std_logic:='1';

            begin
            -- 

            ---
            inst1: FFD port map (CLK=>clk, D=>q3, reset=>reset, Q=>q0);
            inst2: FFD port map (CLK=>clk, D=>q0, reset=>reset, Q=>q1);
            inst3: FFD port map (CLK=>clk, D=>q1, reset=>reset, Q=>q2);
            inst4: FFD port map (CLK=>clk, D=>q2, reset=>reset, Q=>q3);
            inst5: count<=q3&q2&q1&q0;
            end architecture rtl;

Mein problem ist, in diesen letzten Zeilen.

Dank!

Schreibe einen Kommentar