Tag: synthesis

Synthese stellt eine high-level Beschreibung der schaltkreise in eine Umsetzung in Logik-Gatter.

was ist der Unterschied zwischen Synthese und simulation (VHDL)

Anzahl der Antworten 2 Antworten
Im arbeite gerade an einem VHDL-Projekt umfasst eine fsm. Einige Staaten ändern sich, je nach Zähler. Es dit nicht arbeiten, bis ich den " clk " in der sensitivity-Liste, neben dem aktuellen Zustand und der Eingabe. Ich

FF/Verschlüsse: signal - (xxx) hat den Konstanten Wert 0 - VHDL-Synthese

Anzahl der Antworten 1 Antworten
Diese Frage wurde vorhin gefragt, aber noch bin ich nicht in der Lage, zu beheben das problem in meinem code. Was ist falsch in meinem code ist, dass diese Warnungen? use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.all; use work.switch_param.all; entity

Android Audio - Streaming-Sinus-Ton-generator-seltsames Verhalten

Anzahl der Antworten 1 Antworten
ersten mal poster hier. Ich in der Regel, wie die Antwort finden, die mich (sei es durch Forschung oder trial-and-error), aber ich bin ratlos hier. , Was ich versuche zu tun: Ich Baue ein einfaches android-audio-synthesizer. Jetzt

- Frequenz-Modulation-Synthese-Algorithmus

Anzahl der Antworten 3 Antworten
Basierend auf was ich gelesen habe, habe ich einen Algorithmus für die FM-Klangsynthese. Ich bin mir nicht sicher, wenn ich es Recht. Bei der Erstellung eines software-synth instrument eine Funktion wird verwendet, um zu erzeugen, einen Oszillator

Warum steigt Rand vorgezogen, fallende Flanke

Anzahl der Antworten 4 Antworten
Flip-Flops (Register,...) sind in der Regel ausgelöst durch eine steigende oder fallende Flanke. Aber vor allem in code sehen Sie eine if-Klausel, die verwendet die steigende Flanke Triggern. In der Tat, ich sah nie einen code mit

VHDL: Die folgenden Dateien fehlen: .stx .ncd .xrpt

Anzahl der Antworten 1 Antworten
Bevor ich auch nur beginnen mit der Synthese(sobald ich "Speichern" drücken), bekomme ich diese Warnungen: WARNING:ProjectMgmt - File C:/Users/bojanm/Desktop/Enkoder-Digital Output/Test/Counter.stx is missing. WARNING:ProjectMgmt - File C:/Users/bojanm/Desktop/Enkoder-Digital Output/Test/Counter_map.ncd is missing. WARNING:ProjectMgmt - File C:/Users/bojanm/Desktop/Enkoder-Digital Output/Test/Counter_xst.xrpt is missing. WARNING:ProjectMgmt

Ist $readmem synthetisierbare in Verilog?

Anzahl der Antworten 1 Antworten
Bin ich versucht zu implementieren eines Mikrocontrollers auf einem FPGA, und ich muss zu geben, es ist eine ROM für sein Programm. Wenn ich $readmemb, wird das auch korrekt synthetisiert, um ein ROM? Wenn nicht, was ist

Verilog-Fehler: Kann keine aufwändigen Benutzer-Hierarchie "counter:counter"

Anzahl der Antworten 1 Antworten
Ich bin tring zu schreiben Schlange von LED auf einem Quartus-Board. Es ist wie eine Art KITT-Leds, aber wenn ich versuche, aus meinem Programm bekomme ich einen Fehler. module ukol3(KEY,LEDR); input[1:0]KEY; output[14:0] LEDR; counter counter(KEY[0], KEY[1], LEDR[14:0]);

Wie NICHT für die Verwendung der while () - Schleifen in verilog (für die Synthese)?

Anzahl der Antworten 3 Antworten
Habe ich bekommen in die Gewohnheit zu entwickeln, eine Menge testbenches und verwenden for() und while () - Schleifen für Testzwecke. Das ist gut. Das problem ist, dass ich diese Gewohnheit über den Code für die Kreise,

Sieben-Segment-Multiplexing auf Basys2

Anzahl der Antworten 3 Antworten
dies ist mein Erster Beitrag also ich hoffe ich mache das richtig. Ich versuche, die Ausgabe einer "4 3 2 1" auf eine vierstellige sieben-segment-Anzeige auf ein BASYS2 board. Ich habe überprüft, um sicherzustellen, dass die 0

Sound-Synthese mit C#

Anzahl der Antworten 4 Antworten
Gibt es eine Möglichkeit, um sounds zu erzeugen, die in C#? Ich meine nicht nur Signalton oder öffnen und spielen Sie eine wave-Datei. Ich meine, die bauen, die das signal mit Hilfe von verschiedenen Arten von Wellen

VHDL: Finding out/reporting-bit-Breite/Länge integer (vs. std_logic_vector)?

Anzahl der Antworten 4 Antworten
Sagen, ich brauche ein signal repräsentieren die zahlen von 0 bis 5; offensichtlich braucht 3 bit std_logic vertreten zu sein (ich.e wenn MAXVAL=5, dann bitwidth= {wcalc "floor(logtwo($MAXVAL))+1"}). Ich bin mir bewusst, dass ich tun konnte: SIGNAL myLogicVector

Ich will lernen, audio-Programmierung

Anzahl der Antworten 3 Antworten
In meiner Schule können wir eine Klasse, wo wir grundsätzlich etwas über ein Thema lernen auf unsere eigene, für ein semester. Ich dachte, dass ich lernen will, über den "sound-Programmierung," aber ich habe erkannt, dass ich keine

@property und @synthetisieren, die in objective-c

Anzahl der Antworten 2 Antworten
Während ich spielte und herauszufinden, wie die Dinge funktionieren in https://github.com/enormego/EGOTableViewPullRefresh fand ich mysteriös @property und @synthetisieren. Hier ist der code, den ich erwähnt EGORefreshTableHeaderView.h @interface EGORefreshTableHeaderView : UIView { id _delegate; EGOPullRefreshState _state; UILabel *_lastUpdatedLabel; UILabel

Konvertieren Sie Mat in Array / Vektor in OpenCV

Anzahl der Antworten 6 Antworten
Ich bin Neuling in OpenCV. Vor kurzem habe ich Schwierigkeiten zu finden, OpenCV Funktionen zum konvertieren von Matte zu Array. Ich recherchierte mit .ptr und .an Methoden zur Verfügung, in der OpenCV-APIs, aber ich konnte nicht richtige

Klangerzeugung / Synthese mit Python?

Anzahl der Antworten 7 Antworten
Ist es möglich, dass python zur Erstellung einer einfachen Klang wie eine Sinus-Welle? Gibt es ein Modul dafür? Wenn nicht, wie würden Sie gehen über die Erstellung Ihrer eigenen? Auch, würden Sie brauchen eine Art von host-Umgebung