Was bedeutet "andere=>'0'" bedeutet eine Abtretung, Anweisung?

cmd_register: process (rst_n, clk)
begin
   if (rst_n='0') then
    cmd_r<= (others=>'0');
   elsif (clk'event and clk='1') then
    cmd_r<=...;
   end if;
end process cmd_register;

Ich weiß, "<=" gibt die Zuordnung aber was ist others? Und was macht => tun?

Schreibe einen Kommentar