Altera Quartus Fehler (12007): Top-level design entity "alt_ex_1" ist undefiniert

Ist, habe ich mich auf all die vorherigen Fragen und niemand scheint ein problem zu haben so einfach wie bei mir. Auch ich habe gesucht im Internet und kann keine Lösung finden.

Ich bin neu bei VHDL und versuche zu kompilieren Sie das einfache Beispiel von Altera, die ist wie folgt:

library ieee;
use ieee.std_logic_1164.all;

entity light is
port(x1, x2: in std_logic;
          f: out std_logic);
end light;

architecture LogicFunction of light is
begin
    f <= (x1 and not x2) or (not x1  and x2);
end LogicFunction;

Folgte ich dem Projekt Schaffung Schritte in die Altera-tutorial, aber wenn ich versuche, das Projekt zu kompilieren erhalte ich die Fehlermeldung:

Error (12007): Top-level design entity "alt_ex_1" is undefined

InformationsquelleAutor unplugngo | 2014-09-14

Schreibe einen Kommentar