Assert-Anweisung in Verilog

Ich bin komplett neu in Verilog, so mit mir tragen.

Ich mich Fragen, ob es eine assert-Anweisung in Verilog. In meiner testbench, ich möchte in der Lage sein, zu behaupten, dass die Ausgänge der Module sind gleich bestimmten Werten.

Beispielsweise

mymodule m(in, out);
assert(out == 1'b1);

Googeln gab mir einen paar links, aber Sie waren entweder zu Komplex oder nicht zu sein scheinen, was ich wollte.

Warum wurde der SV-tag Hinzugefügt?
toolic getaggt, ich weiß nicht, warum. Ich habe es entfernt.
Weil ich fügte hinzu, ein SV zu Beantworten. Weil die OP sollte bewusst gemacht werden, dass Verilog und SystemVerilog sind die gleiche Sprache, nach dem IEEE. Da StackOverflow ist ein Ort, um neue Dinge zu lernen.
Könnten Sie das bitte nicht tun?
Nicht den Menschen zeigen Alternative Wege, etwas zu tun?

InformationsquelleAutor gsingh2011 | 2012-12-16

Schreibe einen Kommentar