Set und reset auf steigende und fallende Flanke

Wie ein bit auf der steigenden Flanke und zurücksetzen bit auf der fallenden Flanke des clock-signal?
Ich würde gerne wissen, wie kann ich das gleiche zu erreichen. Je nach Zustand will ich setzen auf steigende Flanke und reset bei fallender Flanke. Es ist wie der erste clock-Impuls sich an den Ausgang.

Implementiert habe ich für zwei verschiedene Taktsignale, aber ich bin immer Pannen wie diese.

Set und reset auf steigende und fallende Flanke

Mein code für die gleiche ist wie diese

process(clk)
begin 
   if rising_edge(clk) then
      d0 <= new_data;
   end if;
end process;

process(clk)
begin 
   if falling_edge(clk) then
      d1 <= new_data;
   end if;
end process;

out <= d0 when clk = '1' else d1;

Set und reset auf steigende und fallende Flanke

Kälte, die Sie veröffentlichen Sie code für? Sie schauen, wie Sie haben mehrere Fahrer auf der 3. signal.
Sehen Sie, ich habe den code-Teil. Sie richtig waren über mehrere Treiber, ich habe es jetzt korrigiert
Ok ich habe einen workaround. Anstelle der Verwendung von d0 und d1 als Signale, die ich definiert Sie als shared-Variablen und Störungen Weg.

InformationsquelleAutor Shailesh Singh | 2013-09-06

Schreibe einen Kommentar