Set und reset auf steigende und fallende Flanke
Wie ein bit auf der steigenden Flanke und zurücksetzen bit auf der fallenden Flanke des clock-signal?
Ich würde gerne wissen, wie kann ich das gleiche zu erreichen. Je nach Zustand will ich setzen auf steigende Flanke und reset bei fallender Flanke. Es ist wie der erste clock-Impuls sich an den Ausgang.
Implementiert habe ich für zwei verschiedene Taktsignale, aber ich bin immer Pannen wie diese.
Mein code für die gleiche ist wie diese
process(clk)
begin
if rising_edge(clk) then
d0 <= new_data;
end if;
end process;
process(clk)
begin
if falling_edge(clk) then
d1 <= new_data;
end if;
end process;
out <= d0 when clk = '1' else d1;
Kälte, die Sie veröffentlichen Sie code für? Sie schauen, wie Sie haben mehrere Fahrer auf der 3. signal.
Sehen Sie, ich habe den code-Teil. Sie richtig waren über mehrere Treiber, ich habe es jetzt korrigiert
Ok ich habe einen workaround. Anstelle der Verwendung von d0 und d1 als Signale, die ich definiert Sie als shared-Variablen und Störungen Weg.
Sehen Sie, ich habe den code-Teil. Sie richtig waren über mehrere Treiber, ich habe es jetzt korrigiert
Ok ich habe einen workaround. Anstelle der Verwendung von d0 und d1 als Signale, die ich definiert Sie als shared-Variablen und Störungen Weg.
InformationsquelleAutor Shailesh Singh | 2013-09-06
Du musst angemeldet sein, um einen Kommentar abzugeben.
Wenn Sie möchten, DDR-Daten, die die einzige Zeit ist, kann ich sehen, würden Sie wirklich wollen, dies zu tun, gibt es eine Reihe von Möglichkeiten der Modellierung. Wenn Sie möchten, Synthese, instanziieren Sie den passenden Anbieter primitive
Jedoch für ein Modell:
Könnte man auch das Modell als 2-Prozesse und eine mux
InformationsquelleAutor OllieB
Nachdem ich jetzt gesehen, Ihre Wellenform, können Sie das folgende tun, um zu bekommen, ein glitch-free pulse-train
Dazu benötigen Sie ein signal, genannt pass_next_clock, die ausgerichtet werden können, um entweder die clock-Flanke um zu signalisieren, dass Sie wollen, dass die nächste Uhr high pulse ausgegeben werden.
InformationsquelleAutor OllieB
Ok, ich habe es zu arbeiten. Meine Letzte code sieht wie
InformationsquelleAutor Shailesh Singh