std_logic zu integer Konvertierung

Möchte ich meine konvertieren sys-clock (std_logic) in einen integer-Wert (sys_clk). Also ich verwende folgende libs:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

-- code example 
sys_clk         : INTEGER;
clk_clk         : in  std_logic;

wie kann ich umwandeln der clk_clk zu meinem sys_clk?

Dank

InformationsquelleAutor Norick | 2015-12-02
Schreibe einen Kommentar