Ich eine 4bit magnitude comparator in VHDL mit nur übereinstimmende Aussagen (keine if/else oder case/Wann). library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Exercise is port ( A : in std_logic_vector (3 downto 0); B : in std_logic_vector (3 downto