Wie zu initialisieren parameter-array in verilog?

Wie kann man das initialisieren der parameter Typ array ist in verilog, wo die einzelnen Mitglieder sind 32-bit-hexadezimal-zahlen?
Ich habe Folgendes versucht, aber es gibt mir syntax-Fehler.

parameter [31:0] k[0:63] = {32'habc132, 32'hba324f, ...};

Ich bin mit der neuesten version von iverilog für die Zusammenstellung.

  • mögliche Duplikate von Parameter-array in Verilog
  • Nein, denn das funktioniert nicht.
  • Verilog-1995 nicht unterstützt gekleidet Parameter. Verilog-2005 tut, aber es das LRM ist nicht explizit mehrdimensionalen parameter-arrays, die es unterstützen sollte. Alle Versionen von SystemVerilog unterstützt es, einige erfordern '{} zu definieren, das array.
  • Sind Sie richtig. Scheint, wie mein compiler unterstützt es nicht.
Schreibe einen Kommentar