Was ist der Unterschied zwischen elseif und elsif-Anweisung in VHDL

Derzeit, ich habe mitgewirkt in FPGA-design-Techniken, mit Xilinix. Beim lösen design-Probleme, ich habe immer wieder gefunden, die Verwendung von elseif und elsif im if-Kette fast für ähnliche Aussagen.

elsif(clk'event and clk='1') then
                 pr_state<=nx_state;

und

elseif S1=’0 and  S0=’1 then
     Z <= B;

Mein problem ist - was ist der Unterschied zwischen diesen beiden Konstrukten? Sind Sie ähnlich? Ich bin gegangen, durch D. L. Perry ist ein VHDL-Buch und andere online-VHDL-tutorials " - Seiten aber nicht finden können Lösung.Vielen Dank im Voraus!

  • Ich weiß nicht, VHDL-und ich denke, es gibt keinen Unterschied. Es wäre extrem verwirrend, wenn Sie waren eins. Ich kann mir nicht vorstellen, eine Sprache, die designer, dies zu tun.
  • Naja, ich denke, es sollte mindestens ein Punkt der Differenz, sonst wird der designer nicht machen würde zwei Konstrukte für genau die gleiche Funktion. @bokan
  • Oft gibt es Synonyme oder verschiedene Möglichkeiten, dasselbe zu schreiben in der Programmiersprache.
InformationsquelleAutor Jivan | 2012-09-02
Schreibe einen Kommentar