Tag: circuit

In einem Programmier-Rahmen, eine Schaltung, in der Regel bezieht sich auf eine Kombination von logischen Operatoren zum erreichen der gewünschten Reaktion. Verwenden Sie dieses tag, um Fragen über die grundlegende logische Implementierungen und loops, die Sie nicht bekommen kann Ihren Kopf um.

Wie werden die Schichten implementiert auf der hardware-Ebene?

Anzahl der Antworten 1 Antworten
Wie werden bit-Verschiebungen implementiert auf der hardware-Ebene, wenn die Anzahl zu verlagern, indem unbekannt ist? Ich kann mir nicht vorstellen, dass es einen separaten Schaltkreis für jede Nummer können Sie bei gedrückter Umschalttaste durch (das ist die

VHDL-port-mapping-problem

Anzahl der Antworten 3 Antworten
Ich bin relativ neu in VHDL. Ich bin versucht, code zu schreiben, zu tun vorzeichenlose Multiplikation mit einer Kombination von voll-Addierer. Beim kompilieren geht es bis zum port-mapping. Ich habe gelöst, die Fehler in der ersten Karte,

Frequency divisor in verilog

Anzahl der Antworten 1 Antworten
ich brauche einen Frequenzteiler in verilog, und ich aus dem code unten. Es funktioniert, aber ich möchte wissen, ob die beste Lösung, danke! module frquency_divider_by2 ( clk ,clk3 ); output clk3 ; reg clk2, clk3 ; input

Umsetzung von jump-register-Steuerelement, um single-cycle MIPS

Anzahl der Antworten 2 Antworten
Bin ich versucht zu implementieren jr (jump register), Anweisung, Unterstützung für single-cycle-MIPS-Prozessor. Im folgenden Bild habe ich gezeichnet, eine einfache mux, ermöglicht die Auswahl zwischen der normalen Kette PC oder die Anleitung (jr) - Adresse. Wie kann

Konvertierung von Logik-Gattern auf eine Reine NAND-Umsetzung, wie kommen diese gültig ist?

Anzahl der Antworten 1 Antworten
So Im das tun einige übungen mit Antworten, die sich auf die Konvertierung in eine Reine NAND-Gatter-Implementierung. Verstehe ich, wie die 2 UND-Gatter und das ODER-gate-Anschluss umgewandelt wurden, durch die doppelte negation aber wie kommen der ODER-gate-Anschluss

Wie konvertiere ich eine Zahl auf zwei-Komplement in verilog?

Anzahl der Antworten 3 Antworten
Ich versuche, Entwurf einer 4-bit-Addierer subtracter in verilog. Dies ist nur die zweite Sache, die ich je geschrieben habe in verilog, und ich weiß nicht, alle die richtige syntax noch nicht. Dies ist das Modul habe ich

Warum wird ein 4-bit adder/subtractor umzusetzen, überlauf-Erkennung durch den Blick auf die beiden zuletzt tragen-outs?

Anzahl der Antworten 3 Antworten
Dies ist das Diagramm, das wir bekamen für Klasse: Warum würden Sie nicht einfach verwenden, C4 in dieses Bild? Wenn C4 1 ist, dann ist die Letzte Zugabe führte zu einem überlauf, was wir Fragen. Warum müssen

Was ist der beste Weg zu lernen, wie zu bauen schaltungen

Anzahl der Antworten 10 Antworten
Wollte ich schon immer mal haben, ein besseres Verständnis dessen, was geschieht auf hardware-Ebene. Als eine praktische Ebene, alles, was nach dem kompilieren gleich 'Magie' und ich würde gerne Abhilfe schaffen. Möchte ich untersuchen, Aufbau von schaltungen,

Schritte, um eine LED blinken aus ein C/C++ - Programm?

Anzahl der Antworten 7 Antworten
Was sind die einfachsten Schritte, um eine kleine Schaltung mit einem LED-Blitz aus einer C/C++ - Programm? Ich würde es vorziehen, die geringste Anzahl von Abhängigkeiten und die benötigten Pakete. Was port würde ich connect etwas? Welchen